ノーマルモードとチャレンジモードの切り替え用に
Tフリップフロップを使用して、スイッチのON OFF をトグルします。
-- Togle T-FF
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity TOGLE is
port(
TIN : in std_logic;
RESET : in std_logic;
TOUT : out std_logic
);
end TOGLE;
architecture RTL of TOGLE is
signal TOUT_TMP : std_logic;
begin
-- T-FF
process(TIN,RESET)
begin
if (RESET = '0') then
TOUT_TMP <= '0';
elsif (TIN'event and TIN = '0') then
TOUT_TMP <= not TOUT_TMP;
end if;
end process;
TOUT <= TOUT_TMP;
end RTL;