記事一覧

野球ゲームをつくる ~ 11.ラッキー7の攻撃

2015年06月10日(水)21時22分

ラッキー7の攻撃の時には、ホームランのタイミングでアシスト用のLEDが点滅するようにしてみます。*1

lucky7.vhd

-- Lucky7
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

entity LUCKY7 is
    port(
        RESET       : in  std_logic;
        INING_COUNT : in std_logic_vector(3 downto 0);
        LED_ASSIST  : out std_logic
    );
end LUCKY7;

architecture RTL of LUCKY7 is

begin

    process(RESET,INING_COUNT)
    begin
        if (RESET = '0') then
            LED_ASSIST <= '0';
        elsif (INING_COUNT = "0111") then
            LED_ASSIST <= '1';
        else
            LED_ASSIST <= '0';
        end if;
    end process;
    
end RTL;

*1:ズルと言えばそれまでですが、ルーレットは早いので、目押しはまず無理です。